I couldn't find a satisfactory answer to this question on the Internet.
The answer is "No".
I just called BoA EDD customer service (2023-08-28), and their representative told me that the card can be loaded only by the sponsor of the card.
I couldn't find a satisfactory answer to this question on the Internet.
The answer is "No".
I just called BoA EDD customer service (2023-08-28), and their representative told me that the card can be loaded only by the sponsor of the card.
1 2 3 4 5 6 7 8 9 10 11 | process(clk) is begin if rising_edge(clk) begin if rst = '1' then a <= '0'; else a <= somecode; b <= someothercode; end if; end if; end process; |
1
2
3
| type LaneIndex is (L0,L1,L2,L3); ... for Lane in LaneIndex loop |
for i in Arr'range loop
1
2
3
| subtype LanesRange is integer range 0 to LanesInCore-1; ... for Lane in LanesRange loop |
1
2
| type ByteIndex is (B0,B1,B2,B3); type LaneType is array (ByteIndex) of std_logic_vector(7 downto 0); |
1
2
3
4
5
6
7
8
| type LaneType is array (0 to 3) of std_logic_vector(7 downto 0); type LaneBusType is array(0 to 3) of LaneType; ..... for Lane in 0 to 3 loop L(Lane)(0)(i) <= not L(Lane)(0)(i); --OK -- L(0)(Lane)(i) <= not L(0)(Lane)(i); -- error, but absolutely legal end loop; |
1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 | type LaneIndex is (L0,L1,L2,L3); type ByteIndex is (B0,B1,B2,B3); type LaneType is array(ByteIndex) of std_logic_vector(7 downto 0); type LaneBusType is array(LaneIndex) of LaneType; signal clk : std_logic; signal L : LaneBusType := (others => (others => (others => '0'))); begin process is begin clk <= '0'; wait for 5 ns; clk <= '1'; wait for 5 ns; end process; process(clk) is variable i : natural := 0; begin if rising_edge(clk) then for Lane in LaneIndex loop L(Lane)(B0)(i) <= not L(Lane)(B0)(i); --OK -- L(B0)(Lane)(i) <= not L(B0)(Lane)(i); -- type error near b0 ; current type byteindex; -- expected type laneindex end loop; i := (i+1) mod 8; end if; end process; |